CSpace

浏览/检索结果: 共12条,第1-10条 帮助

限定条件        
已选(0)清除 条数/页:   排序方式:
Deterministic and Probabilistic Diagnostic Challenge Generation for Arbiter Physical Unclonable Function 期刊论文
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 2018, 卷号: 37, 期号: 12, 页码: 3186-3197
作者:  Ye, Jing;  Guo, Qingli;  Hu, Yu;  Li, Xiaowei
收藏  |  浏览/下载:263/0  |  提交时间:2019/04/03
Arbiter physical unclonable function (PUF)  delay fault  diagnostic challenge  fault diagnosis  stuck-at fault  
Fault tolerance on-chip: a reliable computing paradigm using self-test, self-diagnosis, and self-repair (3S) approach 期刊论文
SCIENCE CHINA-INFORMATION SCIENCES, 2018, 卷号: 61, 期号: 11, 页码: 17
作者:  Li, Xiaowei;  Yan, Guihai;  Ye, Jing;  Wang, Ying
收藏  |  浏览/下载:69/0  |  提交时间:2019/12/10
fault tolerance  on-chip  self-test  self-diagnosis  self-repair  
A Case of On-Chip Memory Subsystem Design for Low-Power CNN Accelerators 期刊论文
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 2018, 卷号: 37, 期号: 10, 页码: 1971-1984
作者:  Wang, Ying;  Li, Huawei;  Li, Xiaowei
收藏  |  浏览/下载:68/0  |  提交时间:2019/12/10
Convolutional neural network (CNN)  deep learning  low power  memory subsystem  
DimRouter: A Multi-Mode Router Architecture for Higher Energy-Proportionality of On-Chip Networks 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2018, 卷号: 33, 期号: 5, 页码: 984-997
作者:  Lian, Shi-Qi;  Wang, Ying;  Han, Yin-He
收藏  |  浏览/下载:66/0  |  提交时间:2019/12/10
dark silicon  energy-proportion  power gating  topology reconfiguration  
Design and Implementation of Adaptive SpMV Library for Multicore and Many-Core Architecture 期刊论文
ACM TRANSACTIONS ON MATHEMATICAL SOFTWARE, 2018, 卷号: 44, 期号: 4, 页码: 25
作者:  Tan, Guangming;  Liu, Junhong;  Li, Jiajia
收藏  |  浏览/下载:56/0  |  提交时间:2019/12/10
Sparse matrix vector multiplication  auto-tuning  multicore  machine learning  
A Low Overhead In-Network Data Compressor for the Memory Hierarchy of Chip Multiprocessors 期刊论文
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 2018, 卷号: 37, 期号: 6, 页码: 1265-1277
作者:  Wang, Ying;  Li, Huawei;  Han, Yinhe;  Li, Xiaowei
收藏  |  浏览/下载:67/0  |  提交时间:2019/12/10
Cache  chip multiprocessor (CMP)  compression  memory hierarchy  network-on-chip (NoC)  
Quadboost: A Scalable Concurrent Quadtree 期刊论文
IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, 2018, 卷号: 29, 期号: 3, 页码: 673-686
作者:  Zhou, Keren;  Tan, Guangming;  Zhou, Wei
收藏  |  浏览/下载:40/0  |  提交时间:2019/12/10
Concurrent data structures  quadtree  continuous find  decoupling  LCA  
Cache-Oblivious MPI All-to-All Communications Based on Morton Order 期刊论文
IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, 2018, 卷号: 29, 期号: 3, 页码: 542-555
作者:  Li, Shigang;  Zhang, Yunquan;  Hoefler, Torsten
收藏  |  浏览/下载:50/0  |  提交时间:2019/12/10
cache-oblivious algorithms  collective communication  NUMA  MPI_Alltoall  MPI_Allgather  neighborhood collectives  
A Non-Stop Double Buffering Mechanism for Dataflow Architecture 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2018, 卷号: 33, 期号: 1, 页码: 145-157
作者:  Tan, Xu;  Shen, Xiao-Wei;  Ye, Xiao-Chun;  Wang, Da;  Fan, Dong-Rui;  Zhang, Lunkai;  Li, Wen-Ming;  Zhang, Zhi-Min;  Tang, Zhi-Min
收藏  |  浏览/下载:67/0  |  提交时间:2019/12/10
non-stop  double buffering  dataflow architecture  high-performance computing  
A Pipelining Loop Optimization Method for Dataflow Architecture 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2018, 卷号: 33, 期号: 1, 页码: 116-130
作者:  Tan, Xu;  Ye, Xiao-Chun;  Shen, Xiao-Wei;  Xu, Yuan-Chao;  Wang, Da;  Zhang, Lunkai;  Li, Wen-Ming;  Fan, Dong-Rui;  Tang, Zhi-Min
收藏  |  浏览/下载:75/0  |  提交时间:2019/12/10
dataflow model  control-flow model  loop optimization  exascale computing  scientific application