CSpace

浏览/检索结果: 共12条,第1-10条 帮助

已选(0)清除 条数/页:   排序方式:
Sinusoidal Clock Sampling for Multigigahertz ADCs 期刊论文
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, 2011, 卷号: 58, 期号: 12, 页码: 2808-2815
作者:  Bai, Rui;  Wang, Jingguang;  Xia, Lingli;  Zhang, Feng;  Yang, Zongren;  Hu, Weiwu;  Chiang, Patrick
收藏  |  浏览/下载:72/0  |  提交时间:2019/12/16
Flash ADC  jitter  SFDR  sinusoidal clock  
Three-dimensional reconstruction using an adaptive simultaneous algebraic reconstruction technique in electron tomography 期刊论文
JOURNAL OF STRUCTURAL BIOLOGY, 2011, 卷号: 175, 期号: 3, 页码: 277-287
作者:  Wan, Xiaohua;  Zhang, Fa;  Chu, Qi;  Zhang, Kai;  Sun, Fei;  Yuan, Bo;  Liu, Zhiyong
收藏  |  浏览/下载:68/0  |  提交时间:2019/12/16
Electron tomography  Three-dimensional reconstruction  Iterative methods  ASART (adaptive simultaneous algebraic reconstruction technique)  
Efficient and Effective Misaligned Data Access Handling in a Dynamic Binary Translation System 期刊论文
ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 2011, 卷号: 8, 期号: 2, 页码: 29
作者:  Li, Jianjun;  Wu, Chenggang;  Hsu, Wei-Chung
收藏  |  浏览/下载:73/0  |  提交时间:2019/12/16
Management  Performance  Optimization  misaligned memory access  binary translation  
Physical Implementation of the Eight-Core Godson-3B Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 3, 页码: 520-527
作者:  Wang, Ru;  Fan, Bao-Xia;  Yang, Liang;  Gao, Yan-Ping;  Liu, Dong;  Xiao, Bin;  Wang, Jiang-Mei;  Zhang, Yi-Fu;  Wang, Hong;  Hu, Wei-Wu
收藏  |  浏览/下载:85/0  |  提交时间:2019/12/16
physical implementation  hierarchical design flow  GALS  clock mesh  low power  
The Godson Processors: Its Research, Development, and Contributions 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 3, 页码: 363-372
作者:  Hu, Wei-Wu;  Gao, Yan-Ping;  Chen, Tian-Shi;  Xiao, Jun-Hua
收藏  |  浏览/下载:70/0  |  提交时间:2019/12/16
IT industry  CPU research and development  Godson microprocessor  XPU  system on chip  
Design for Testability Features of Godson-3 Multicore Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 2, 页码: 302-313
作者:  Qi, Zi-Chu;  Liu, Hui;  Li, Xiang-Ku;  Hu, Wei-Wu
收藏  |  浏览/下载:68/0  |  提交时间:2019/12/16
DFT (design for testability)  TAM (test access mechanism)  multicore processor  low power test  
Landing Stencil Code on Godson-T 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2010, 卷号: 25, 期号: 4, 页码: 886-894
作者:  Cui, Hui-Min;  Wang, Lei;  Fan, Dong-Rui;  Feng, Xiao-Bing
收藏  |  浏览/下载:63/0  |  提交时间:2019/12/16
many-core  stencil  Jacobi  compiler  SPM  fine-grain synchronization  
Physical Implementation of the 1GHz Godson-3 Quad-Core Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2010, 卷号: 25, 期号: 2, 页码: 192-199
作者:  Fan, Bao-Xia;  Yang, Liang;  Wang, Jiang-Mei;  Wang, Ru;  Xiao, Bin;  Xu, Ying;  Liu, Dong;  Zhao, Ji-Ye
收藏  |  浏览/下载:58/0  |  提交时间:2019/12/16
physical implementation  design methodology  on-chip variation (OCV)  low power  clock tree  
System Architecture of Godson-3 Multi-Core Processors 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2010, 卷号: 25, 期号: 2, 页码: 181-191
作者:  Gao, Xiang;  Chen, Yun-Ji;  Wang, Huan-Dong;  Tang, Dan;  Hu, Wei-Wu
收藏  |  浏览/下载:45/0  |  提交时间:2019/12/16
multi-core processor  scalable interconnection  cache coherent non-uniform memory access/ non-uniform cache  access (CC-NUMA/NUCA)  MESH  crossbar  cache coherence  reliability  availability and serviceability (RAS)  
Godson-T: An Efficient Many-Core Architecture for Parallel Program Executions 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2009, 卷号: 24, 期号: 6, 页码: 1061-1073
作者:  Fan, Dong-Rui;  Yuan, Nan;  Zhang, Jun-Chao;  Zhou, Yong-Bin;  Lin, Wei;  Song, Feng-Long;  Ye, Xiao-Chun;  Huang, He;  Yu, Lei;  Long, Guo-Ping;  Zhang, Hao;  Liu, Lei
收藏  |  浏览/下载:63/0  |  提交时间:2019/12/16
many-core  parallel computing  multithread  data communication  thread synchronization  runtime system