CSpace

浏览/检索结果: 共13条,第1-10条 帮助

限定条件        
已选(0)清除 条数/页:   排序方式:
Approximate data mapping in refresh-free DRAM for energy-efficient computing in modern mobile systems 期刊论文
COMPUTER COMMUNICATIONS, 2024, 卷号: 216, 页码: 151-158
作者:  Li, Sen;  Jin, Hui;  Gao, Yingke;  Wang, Ying;  Dai, Shuhong;  Xu, Yongjun;  Cheng, Long
收藏  |  浏览/下载:6/0  |  提交时间:2024/05/20
DRAM  Refresh  Approximate computing  Energy efficiency  Mobile communication systems  
Real-Time Robust Video Object Detection System Against Physical-World Adversarial Attacks 期刊论文
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 2024, 卷号: 43, 期号: 1, 页码: 366-379
作者:  Han, Husheng;  Hu, Xing;  Hao, Yifan;  Xu, Kaidi;  Dang, Pucheng;  Wang, Ying;  Zhao, Yongwei;  Du, Zidong;  Guo, Qi;  Wang, Yanzhi;  Zhang, Xishan;  Chen, Tianshi
收藏  |  浏览/下载:3/0  |  提交时间:2024/05/20
Object detection  Streaming media  Optical flow  Feature extraction  Real-time systems  Task analysis  Detectors  Adversarial patch attack  deep learning security  domain-specific accelerator  hardware/software co-design  real time  
Accelerating Deformable Convolution Networks with Dynamic and Irregular Memory Accesses 期刊论文
ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, 2023, 卷号: 28, 期号: 4, 页码: 23
作者:  Chu, Cheng;  Liu, Cheng;  Xu, Dawen;  Wang, Ying;  Luo, Tao;  Li, Huawei;  Li, Xiaowei
收藏  |  浏览/下载:7/0  |  提交时间:2023/12/04
Deformable convolution network  neural network accelerator  irregular memory access  runtime tile scheduling  
A Framework for Neural Network Architecture and Compile Co-optimization 期刊论文
ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS, 2023, 卷号: 22, 期号: 1, 页码: 24
作者:  Chen, Weiwei;  Wang, Ying;  Xu, Ying;  Gao, Chengsi;  Liu, Cheng;  Zhang, Lei
收藏  |  浏览/下载:13/0  |  提交时间:2023/07/12
DNN-scheduling Co-design  hardware-aware neural architecture search  compiler optimization  
Dadu-SV: Accelerate Stereo Vision Processing on NPU 期刊论文
IEEE EMBEDDED SYSTEMS LETTERS, 2022, 卷号: 14, 期号: 4, 页码: 191-194
作者:  Min, Feng;  Wang, Ying;  Xu, Haobo;  Huang, Junpei;  Wang, Yujie;  Zou, Xingqi;  Lu, Meixuan;  Han, Yinhe
收藏  |  浏览/下载:14/0  |  提交时间:2023/07/12
Hardware acceleration  neural computing  neural processing unit (NPU)  semiglobal matching (SGM)  stereo vision  
Amphis: Managing Reconfigurable Processor Architectures With Generative Adversarial Learning 期刊论文
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 2022, 卷号: 41, 期号: 11, 页码: 3993-4003
作者:  Chen, Weiwei;  Wang, Ying;  Xu, Ying;  Gao, Chengsi;  Han, Yinhe;  Zhang, Lei
收藏  |  浏览/下载:14/0  |  提交时间:2023/07/12
Resource management  Predictive models  Runtime  Generators  Generative adversarial networks  Computational modeling  Training  Design space exploration  generative adversarial network (GAN)  reconfigurable processor  
HyCA: A Hybrid Computing Architecture for Fault-Tolerant Deep Learning 期刊论文
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 2022, 卷号: 41, 期号: 10, 页码: 3400-3413
作者:  Liu, Cheng;  Chu, Cheng;  Xu, Dawen;  Wang, Ying;  Wang, Qianlong;  Li, Huawei;  Li, Xiaowei;  Cheng, Kwang-Ting
收藏  |  浏览/下载:25/0  |  提交时间:2022/12/07
Circuit faults  Computational modeling  Deep learning  Hardware  Redundancy  Neural networks  Computer architecture  Deep learning accelerator (DLA)  fault detection  fault tolerance  hybrid computing architecture (HyCA)  
Taming Process Variations in CNFET for Efficient Last-Level Cache Design 期刊论文
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, 2022, 卷号: 30, 期号: 4, 页码: 418-431
作者:  Xu, Dawen;  Feng, Zhuangyu;  Liu, Cheng;  Li, Li;  Wang, Ying;  Li, Huawei;  Li, Xiaowei
收藏  |  浏览/下载:26/0  |  提交时间:2022/12/07
CNTFETs  Delays  Transistors  Layout  Very large scale integration  Radio frequency  Energy consumption  nanotube field-effect transistor (CNFET)  last-level cache (LLC)  process variation (PV)  variation-aware cache  
LINAC: A Spatially Linear Accelerator for Convolutional Neural Networks 期刊论文
IEEE COMPUTER ARCHITECTURE LETTERS, 2022, 卷号: 21, 期号: 1, 页码: 29-32
作者:  Xiao, Hang;  Xu, Haobo;  Wang, Ying;  Wang, Yujie;  Han, Yinhe
收藏  |  浏览/下载:21/0  |  提交时间:2022/12/07
Linear particle accelerator  Correlation  Kernel  Convolution  Linear regression  System-on-chip  Quantization (signal)  Neural network  acceleration  convolution  linear regression  bit-sparsity  
EnGN: A High-Throughput and Energy-Efficient Accelerator for Large Graph Neural Networks 期刊论文
IEEE TRANSACTIONS ON COMPUTERS, 2021, 卷号: 70, 期号: 9, 页码: 1511-1525
作者:  Liang, Shengwen;  Wang, Ying;  Liu, Cheng;  He, Lei;  Li, Huawei;  Xu, Dawen;  Li, Xiaowei
收藏  |  浏览/下载:38/0  |  提交时间:2021/12/01
Neural networks  Hardware  System-on-chip  Task analysis  Feature extraction  Memory management  Graph neural network  accelerator architecture  hardware acceleration