CSpace

浏览/检索结果: 共26条,第1-10条 帮助

限定条件                
已选(0)清除 条数/页:   排序方式:
Design of an 8-bit Bit-Parallel RSFQ Microprocessor 期刊论文
IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, 2020, 卷号: 30, 期号: 7, 页码: 6
作者:  Qu, Pei-Yao;  Tang, Guang-Ming;  Yang, Jia-Hong;  Ye, Xiao-Chun;  Fan, Dong-Rui;  Zhang, Zhi-Min;  Sun, Ning-Hui
收藏  |  浏览/下载:29/0  |  提交时间:2021/12/01
Digital circuit  rapid single-flux-quantum (RSFQ)  superconducting microprocessor  
Logic Design of a 16-bit Bit-Slice Arithmetic Logic Unit for 32-/64-bit RSFQ Microprocessors 期刊论文
IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, 2018, 卷号: 28, 期号: 4, 页码: 5
作者:  Tang, Guang-Ming;  Qu, Pei-Yao;  Ye, Xiao-Chun;  Fan, Dong-Rui
收藏  |  浏览/下载:67/0  |  提交时间:2019/12/10
Arithmetic logic unit (ALU)  microprocessor  rapid single-flux-quantum (RSFQ)  superconducting integrated circuits  
Processor Design Space Exploration via Statistical Sampling and Semi-Supervised Ensemble Learning 期刊论文
IEEE ACCESS, 2018, 卷号: 6, 页码: 25495-25505
作者:  Li, Dandan;  Yao, Shuzhen;  Wang, Ying
收藏  |  浏览/下载:46/0  |  提交时间:2019/12/10
Design space exploration  Latin hypercube sampling  adaboost  microprocessor design  
Physical Implementation of the Eight-Core Godson-3B Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 3, 页码: 520-527
作者:  Wang, Ru;  Fan, Bao-Xia;  Yang, Liang;  Gao, Yan-Ping;  Liu, Dong;  Xiao, Bin;  Wang, Jiang-Mei;  Zhang, Yi-Fu;  Wang, Hong;  Hu, Wei-Wu
收藏  |  浏览/下载:81/0  |  提交时间:2019/12/16
physical implementation  hierarchical design flow  GALS  clock mesh  low power  
Design for Testability Features of Godson-3 Multicore Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 2, 页码: 302-313
作者:  Qi, Zi-Chu;  Liu, Hui;  Li, Xiang-Ku;  Hu, Wei-Wu
收藏  |  浏览/下载:65/0  |  提交时间:2019/12/16
DFT (design for testability)  TAM (test access mechanism)  multicore processor  low power test  
Physical Design Methodology for Godson-2G Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2010, 卷号: 25, 期号: 2, 页码: 225-231
作者:  Zhao, Ji-Ye;  Liu, Dong;  Huan, Dan-Dan;  Su, Meng-Hao;  Xiao, Bin;  Xu, Ying;  Shi, Feng;  Chen, Chen;  Wang, Song
收藏  |  浏览/下载:55/0  |  提交时间:2019/12/16
computer architecture  Godson-2G  physical design methodology  nanometer process  
Physical Implementation of the 1GHz Godson-3 Quad-Core Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2010, 卷号: 25, 期号: 2, 页码: 192-199
作者:  Fan, Bao-Xia;  Yang, Liang;  Wang, Jiang-Mei;  Wang, Ru;  Xiao, Bin;  Xu, Ying;  Liu, Dong;  Zhao, Ji-Ye
收藏  |  浏览/下载:54/0  |  提交时间:2019/12/16
physical implementation  design methodology  on-chip variation (OCV)  low power  clock tree  
无权访问的条目 期刊论文
作者:  沈海华;  卫文丽;  陈云霁
Adobe PDF(1259Kb)  |  收藏  |  浏览/下载:0/0  |  提交时间:2010/11/05
无权访问的条目 期刊论文
作者:  Jian-Wei Xu(许建卫);  Ming-Yu Chen (陈明宇);  Gui Zheng (郑规);  Zheng Cao(曹政);  Hui-Wei Lv(吕慧伟);  Ning-Hui Sun (孙凝晖)
Adobe PDF(403Kb)  |  收藏  |  浏览/下载:0/0  |  提交时间:2010/11/02
Design-for-Testability Features and Test Implementation of a Giga Hertz General Purpose Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2008, 卷号: 23, 期号: 6, 页码: 1037-1046
作者:  Wang, Da;  Hu, Yu;  Li, Hua-Wei;  Li, Xiao-Wei
收藏  |  浏览/下载:41/0  |  提交时间:2019/12/16
microprocessor design-for-testability  test generation  built-in self-test  at-speed testing