CSpace

浏览/检索结果: 共71条,第1-10条 帮助

已选(0)清除 条数/页:   排序方式:
Characterizing and Understanding Defense Methods for GNNs on GPUs 期刊论文
IEEE COMPUTER ARCHITECTURE LETTERS, 2023, 卷号: 22, 期号: 2, 页码: 137-140
作者:  Wu, Meng;  Yan, Mingyu;  Yang, Xiaocheng;  Li, Wenming;  Zhang, Zhimin;  Ye, Xiaochun;  Fan, Dongrui
收藏  |  浏览/下载:6/0  |  提交时间:2023/12/04
Kernel  Purification  Estimation  Graphics processing units  Perturbation methods  Electric breakdown  Training  Graph neural networks  defense  execution semantic  execution pattern  overhead  
Multi-Node Acceleration for Large-Scale GCNs 期刊论文
IEEE TRANSACTIONS ON COMPUTERS, 2022, 卷号: 71, 期号: 12, 页码: 3140-3152
作者:  Sun, Gongjian;  Yan, Mingyu;  Wang, Duo;  Li, Han;  Li, Wenming;  Ye, Xiaochun;  Fan, Dongrui;  Xie, Yuan
收藏  |  浏览/下载:22/0  |  提交时间:2023/07/12
Deep learning  graph neural network  hardware accelerator  multi-node system  communication optimization  
JBNN: A Hardware Design for Binarized Neural Networks Using Single-Flux-Quantum Circuits 期刊论文
IEEE TRANSACTIONS ON COMPUTERS, 2022, 卷号: 71, 期号: 12, 页码: 3203-3214
作者:  Fu, Rongliang;  Huang, Junying;  Wu, Haibin;  Ye, Xiaochun;  Fan, Dongrui;  Ho, Tsung-Yi
收藏  |  浏览/下载:13/0  |  提交时间:2023/07/12
Superconducting  single-flux-quantum  accelerator  binarized neural network  
A synergistic reinforcement learning-based framework design in driving automation 期刊论文
COMPUTERS & ELECTRICAL ENGINEERING, 2022, 卷号: 101, 页码: 15
作者:  Qi, Yuqiong;  Hu, Yang;  Wu, Haibin;  Li, Shen;  Ye, Xiaochun;  Fan, Dongrui
收藏  |  浏览/下载:24/0  |  提交时间:2022/12/07
Autonomous Driving  Heterogeneous Multicore AI Accelerator  Criteria  Reinforcement Learning  Scheduling  
Characterizing and Understanding HGNNs on GPUs 期刊论文
IEEE COMPUTER ARCHITECTURE LETTERS, 2022, 卷号: 21, 期号: 2, 页码: 69-72
作者:  Yan, Mingyu;  Zou, Mo;  Yang, Xiaocheng;  Li, Wenming;  Ye, Xiaochun;  Fan, Dongrui;  Xie, Yuan
收藏  |  浏览/下载:22/0  |  提交时间:2022/12/07
Kernel  Semantics  Aggregates  Mercury (metals)  Motion pictures  Graphics processing units  Electric breakdown  Heterogeneous graph neural networks  GNNs  characterization  execution semantic  execution pattern  
Sampling Methods for Efficient Training of Graph Convolutional Networks: A Survey 期刊论文
IEEE-CAA JOURNAL OF AUTOMATICA SINICA, 2022, 卷号: 9, 期号: 2, 页码: 205-234
作者:  Liu, Xin;  Yan, Mingyu;  Deng, Lei;  Li, Guoqi;  Ye, Xiaochun;  Fan, Dongrui
收藏  |  浏览/下载:27/0  |  提交时间:2022/06/21
Efficient training  graph convolutional networks (GCNs)  graph neural networks (GNNs)  sampling method  
Accelerating Graph Processing With Lightweight Learning-Based Data Reordering 期刊论文
IEEE COMPUTER ARCHITECTURE LETTERS, 2022, 卷号: 21, 期号: 1, 页码: 5-8
作者:  Zou, Mo;  Zhang, Mingzhe;  Wang, Rujia;  Sun, Xian-He;  Ye, Xiaochun;  Fan, Dongrui;  Tang, Zhimin
收藏  |  浏览/下载:21/0  |  提交时间:2022/12/07
Instruction sets  Computational modeling  Predictive models  Big Data applications  Software  Optimization  Graph processing  reordering technique  learning-based prediction model  
BSR-TC: Adaptively Sampling for Accurate Triangle Counting over Evolving Graph Streams 期刊论文
INTERNATIONAL JOURNAL OF SOFTWARE ENGINEERING AND KNOWLEDGE ENGINEERING, 2021, 卷号: 31, 期号: 11N12, 页码: 1561-1581
作者:  Xuan, Wei;  Cao, Huawei;  Yan, Mingyu;  Tang, Zhimin;  Ye, Xiaochun;  Fan, Dongrui
收藏  |  浏览/下载:16/0  |  提交时间:2022/12/07
Evolving graph streams  triangle counting  bounded sampling ratio  
A Chip-Level Optical Interconnect for CPU 期刊论文
IEEE PHOTONICS TECHNOLOGY LETTERS, 2021, 卷号: 33, 期号: 16, 页码: 852-855
作者:  Hao, Qinfen;  Qin, Mengyuan;  Qi, Nan;  Xue, Haiyun;  Han, Meng;  Li, Xiaolin;  Hao, Kai;  Niu, Xingmao;  Xiao, Limin;  Fan, Dongrui;  Kurata, Kazuhiko
收藏  |  浏览/下载:35/0  |  提交时间:2021/12/01
Integrated optics  Optical interconnections  Transceivers  Adaptive optics  Optical switches  Optical sensors  Power demand  Optical interconnections  digital integrated circuits  very high speed integrated circuits  chip scale packaging  system integration  
An efficient scheduling algorithm for dataflow architecture using loop-pipelining 期刊论文
INFORMATION SCIENCES, 2021, 卷号: 547, 页码: 1136-1153
作者:  Li, Yi;  Wu, Meng;  Ye, Xiaochun;  Li, Wenming;  Xue, Rui;  Wang, Da;  Zhang, Hao;  Fan, Dongrui
收藏  |  浏览/下载:35/0  |  提交时间:2021/12/01
Dataflow architecture  Instruction scheduling  Multicast  Sharing path  Loop optimization