CSpace

浏览/检索结果: 共4条,第1-4条 帮助

已选(0)清除 条数/页:   排序方式:
Exploiting Security Dependence for Conditional Speculation Against Spectre Attacks 期刊论文
IEEE TRANSACTIONS ON COMPUTERS, 2021, 卷号: 70, 期号: 7, 页码: 963-978
作者:  Zhao, Lutan;  Li, Peinan;  Hou, Rui;  Huang, Michael C.;  Liu, Peng;  Zhang, Lixin;  Meng, Dan
收藏  |  浏览/下载:29/0  |  提交时间:2021/12/01
Security  Hazards  Micromechanical devices  Microarchitecture  Out of order  Registers  Spectre vulnerabilities defense  security dependence  speculative execution side-channel vulnerabilities  
无权访问的条目 期刊论文
作者:  Wei-Wu Hu(胡伟武);  Ji-Ye Zhao(赵继业);  Shi-Qiang Zhong(钟石强);  Xu Yang(杨旭);  Elio Guidetti;  Chris Wu(吴永强)
Adobe PDF(540Kb)  |  收藏  |  浏览/下载:0/0  |  提交时间:2010/11/02
Implementing a 1GHz four-issue out-of-order execution microprocessor in a standard cell ASIC methodology 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2007, 卷号: 22, 期号: 1, 页码: 1-14
作者:  Hu, Wei-Wu;  Zhao, Ji-Ye;  Zhong, Shi-Qiang;  Yang, Xu;  Guidetti, Elio;  Wu, Chris
收藏  |  浏览/下载:40/0  |  提交时间:2019/12/16
general-purpose processor  superscalar pipeline  out-of-order execution  non-blocking cache  physical design  synthesis flow  bit-sliced placement  crafted cell  performance evaluation  
Microarchitecture of the Godson-2 processor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2005, 卷号: 20, 期号: 2, 页码: 243-249
作者:  Hu, WW;  Zhang, FX;  Li, ZS
收藏  |  浏览/下载:38/0  |  提交时间:2019/12/16
superscalar pipeline  out-of-order execution  branch prediction  register renaming  dynamic scheduling non-blocking cache  load speculation