CSpace

浏览/检索结果: 共4条,第1-4条 帮助

限定条件    
已选(0)清除 条数/页:   排序方式:
Chiplet技术发展现状 期刊论文
科技导报, 2023, 卷号: 41, 期号: 19, 页码: 113
作者:  项少林;  郭茂;  蒲菠;  方刘禄;  刘淑娟;  王少勇;  孔宪伟;  郑拓;  赵明;  郝沁汾;  孙凝晖;  刘军
收藏  |  浏览/下载:2/0  |  提交时间:2024/05/20
chiplet technology  chiplet interconnect interfaces  advanced packaging  multi physical field electronic assisted design  signal and power integrity  chiplet技术  芯粒互连接口  先进封装  多物理场电子辅助设计  信号与电源完整性  
ACRank:在神经排序模型中引入检索公理知识 期刊论文
计算机学报, 2023, 卷号: 46, 期号: 10, 页码: 2117
作者:  薄琳;  庞亮;  张朝亮;  王钊伟;  董振华;  徐君;  文继荣
收藏  |  浏览/下载:2/0  |  提交时间:2024/05/20
neural ranking model  information retrieval axiom  contrastive learning  knowledge driven  data driven  神经检索模型  信息检索公理  对比学习  知识驱动  数据驱动  
香山开源高性能RISC-V处理器设计与实现 期刊论文
计算机研究与发展, 2023, 卷号: 60, 期号: 3, 页码: 476
作者:  王凯帆;  徐易难;  余子濠;  唐丹;  陈国凯;  陈熙;  勾凌睿;  胡轩;  金越;  李乾若;  李昕;  蔺嘉炜;  刘彤;  刘志刚;  王华强;  王诲喆;  张传奇;  张发旺;  张林隽;  张紫飞;  张梓悦;  赵阳洋;  周耀阳;  邹江瑞;  蔡晔;  郇丹丹;  李祖松;  赵继业;  何伟;  孙凝晖;  包云岗
收藏  |  浏览/下载:2/0  |  提交时间:2024/05/20
RISC-V  high performance processor  open source  chip design  agile development  RISC-V  高性能处理器  开源  芯片设计  敏捷开发  
面向高能效加速器的二值化神经网络设计和训练方法 期刊论文
计算机辅助设计与图形学学报, 2023, 卷号: 35, 期号: 6, 页码: 961
作者:  李佳骏;  许浩博;  王郁杰;  肖航;  王颖;  韩银和;  李晓维
收藏  |  浏览/下载:2/0  |  提交时间:2024/05/20
binarized neural networks  deep learning  model training  neural network accelerators  二值化神经网络  深度学习  模型训练  神经网络加速器