CSpace

浏览/检索结果: 共2条,第1-2条 帮助

限定条件    
已选(0)清除 条数/页:   排序方式:
An efficient scheduling algorithm for dataflow architecture using loop-pipelining 期刊论文
INFORMATION SCIENCES, 2021, 卷号: 547, 页码: 1136-1153
作者:  Li, Yi;  Wu, Meng;  Ye, Xiaochun;  Li, Wenming;  Xue, Rui;  Wang, Da;  Zhang, Hao;  Fan, Dongrui
收藏  |  浏览/下载:38/0  |  提交时间:2021/12/01
Dataflow architecture  Instruction scheduling  Multicast  Sharing path  Loop optimization  
PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing 期刊论文
SUSTAINABLE COMPUTING-INFORMATICS & SYSTEMS, 2019, 卷号: 21, 页码: 129-142
作者:  Li, Wenming;  Ye, Xiaochun;  Wang, Da;  Zhang, Hao;  Tang, Zhimin;  Fan, Dongrui;  Sun, Ninghui
收藏  |  浏览/下载:133/0  |  提交时间:2019/08/16
PIM  String operations  Acceleration architecture  Big data  HMC