CSpace

浏览/检索结果: 共4条,第1-4条 帮助

限定条件        
已选(0)清除 条数/页:   排序方式:
Reconfigurable Optical Directed Logic Circuits Based on Mode Division Multiplexing Technology 期刊论文
IEEE PHOTONICS JOURNAL, 2023, 卷号: 15, 期号: 3, 页码: 7
作者:  Yuan, Mingrui;  Li, Yiyang;  Xiao, Huifu;  Zhou, Xudong;  Cao, Pengfei;  Cheng, Lin;  Ren, Guanghui;  Hao, Qinfen;  Xue, Jiuzhi;  Mitchell, Arnan;  Tian, Yonghui
收藏  |  浏览/下载:8/0  |  提交时间:2023/12/04
Optical waveguides  Optical device fabrication  Optical switches  Optical resonators  Integrated optics  High-speed optical techniques  Optical coupling  Optical logic operation  mode division multiplexing  optical switch  microring resonators  
High-Speed Optical Mode Switch in Lithium Niobate on Insulator 期刊论文
ACS PHOTONICS, 2023, 页码: 7
作者:  Jiang, Yongheng;  Han, Xu;  Li, Yiyang;  Xiao, Huifu;  Huang, Haijin;  Zhang, Pu;  Dubey, Aditya;  Yuan, Mingrui;  Nguyen, Thach Giang;  Boes, Andreas;  Li, Yingtao;  Ren, Guanghui;  Xue, Jiuzhi;  Hao, Qinfen;  Su, Yikai;  Mitchell, Arnan;  Tian, Yonghui
收藏  |  浏览/下载:14/0  |  提交时间:2023/07/12
Optical mode switch  Lithium niobate on insulator (LNOI)  Silicon nitride  Mode-division-multiplexing (MDM)  
A 100-Gb/s PAM-4 DSP in 28-nm CMOS for Serdes Receiver 期刊论文
ELECTRONICS, 2023, 卷号: 12, 期号: 2, 页码: 14
作者:  Li, Weijie;  Liu, Min;  Zheng, Xuqiang;  Xiao, Guangxing;  Yuan, Guojun;  Hao, Qinfen;  Jin, Zhi
收藏  |  浏览/下载:14/0  |  提交时间:2023/07/12
digital signal process (DSP)  wireline transceiver  feed forward equalizer (FFE)  decision feedback equalizer (DFE)  parallel  multiplexer (MUX)  adaptive  least mean square (LMS)  sigma-delta  
A Chip-Level Optical Interconnect for CPU 期刊论文
IEEE PHOTONICS TECHNOLOGY LETTERS, 2021, 卷号: 33, 期号: 16, 页码: 852-855
作者:  Hao, Qinfen;  Qin, Mengyuan;  Qi, Nan;  Xue, Haiyun;  Han, Meng;  Li, Xiaolin;  Hao, Kai;  Niu, Xingmao;  Xiao, Limin;  Fan, Dongrui;  Kurata, Kazuhiko
收藏  |  浏览/下载:39/0  |  提交时间:2021/12/01
Integrated optics  Optical interconnections  Transceivers  Adaptive optics  Optical switches  Optical sensors  Power demand  Optical interconnections  digital integrated circuits  very high speed integrated circuits  chip scale packaging  system integration