CSpace

浏览/检索结果: 共4条,第1-4条 帮助

限定条件    
已选(0)清除 条数/页:   排序方式:
Physical Implementation of the Eight-Core Godson-3B Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 3, 页码: 520-527
作者:  Wang, Ru;  Fan, Bao-Xia;  Yang, Liang;  Gao, Yan-Ping;  Liu, Dong;  Xiao, Bin;  Wang, Jiang-Mei;  Zhang, Yi-Fu;  Wang, Hong;  Hu, Wei-Wu
收藏  |  浏览/下载:81/0  |  提交时间:2019/12/16
physical implementation  hierarchical design flow  GALS  clock mesh  low power  
Design for Testability Features of Godson-3 Multicore Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 2, 页码: 302-313
作者:  Qi, Zi-Chu;  Liu, Hui;  Li, Xiang-Ku;  Hu, Wei-Wu
收藏  |  浏览/下载:65/0  |  提交时间:2019/12/16
DFT (design for testability)  TAM (test access mechanism)  multicore processor  low power test  
Physical Implementation of the 1GHz Godson-3 Quad-Core Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2010, 卷号: 25, 期号: 2, 页码: 192-199
作者:  Fan, Bao-Xia;  Yang, Liang;  Wang, Jiang-Mei;  Wang, Ru;  Xiao, Bin;  Xu, Ying;  Liu, Dong;  Zhao, Ji-Ye
收藏  |  浏览/下载:54/0  |  提交时间:2019/12/16
physical implementation  design methodology  on-chip variation (OCV)  low power  clock tree  
Architectural Support for Cilk Computations on Many-core Architectures 期刊论文
ACM SIGPLAN NOTICES, 2009, 卷号: 44, 期号: 4, 页码: 285-286
作者:  Long, Guoping;  Fan, Dongrui;  Zhang, Junchao
收藏  |  浏览/下载:39/0  |  提交时间:2019/12/16
Performance  Design  Experimentation  Languages  Cilk  many-core  memory consistency  parallel programming