CSpace

浏览/检索结果: 共2条,第1-2条 帮助

限定条件    
已选(0)清除 条数/页:   排序方式:
Wide Operational Range Processor Power Delivery Design for Both Super-Threshold Voltage and Near-Threshold Voltage Computing 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2016, 卷号: 31, 期号: 2, 页码: 253-266
作者:  He, Xin;  Yan, Gui-Hai;  Han, Yin-He;  Li, Xiao-Wei
收藏  |  浏览/下载:53/0  |  提交时间:2019/12/13
voltage regulator  power delivery  near-threshold computing  multicore processor  
面向三维多核片上系统的热感知硅后能耗优化方法 期刊论文
计算机学报, 2016, 卷号: 39.0, 期号: 009, 页码: 1763
作者:  靳松;  韩银和;  王瑜
收藏  |  浏览/下载:5/0  |  提交时间:2023/12/04
系统能耗  三维多核片上系统  工艺偏差  电压/频率岛  任务调度  热优化