CSpace

浏览/检索结果: 共1条,第1-1条 帮助

限定条件                
已选(0)清除 条数/页:   排序方式:
Wide Operational Range Processor Power Delivery Design for Both Super-Threshold Voltage and Near-Threshold Voltage Computing 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2016, 卷号: 31, 期号: 2, 页码: 253-266
作者:  He, Xin;  Yan, Gui-Hai;  Han, Yin-He;  Li, Xiao-Wei
收藏  |  浏览/下载:53/0  |  提交时间:2019/12/13
voltage regulator  power delivery  near-threshold computing  multicore processor