CSpace

浏览/检索结果: 共2条,第1-2条 帮助

限定条件    
已选(0)清除 条数/页:   排序方式:
A Cost-Effective Energy Optimization Framework of Multicore SoCs Based on Dynamically Reconfigurable Voltage-Frequency Islands 期刊论文
ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, 2016, 卷号: 21, 期号: 2, 页码: 14
作者:  Jin, Song;  Pei, Songwei;  Han, Yinhe;  Li, Huawei
收藏  |  浏览/下载:37/0  |  提交时间:2019/12/13
System energy  dynamic voltage-frequency island  task scheduling  VFI partitioning  multicore system-on-chip  energy  
MicroFix: Using Timing Interpolation and Delay Sensors for Power Reduction 期刊论文
ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, 2011, 卷号: 16, 期号: 2, 页码: 21
作者:  Yan, Guihai;  Han, Yinhe;  Liu, Hui;  Liang, Xiaoyao;  Li, Xiaowei
收藏  |  浏览/下载:65/0  |  提交时间:2019/12/16
Design  Performance  Reliability  Power reduction  fine-grained adaptability  DVFS  timing interpolation  delay sensor