CSpace

浏览/检索结果: 共5条,第1-5条 帮助

限定条件        
已选(0)清除 条数/页:   排序方式:
Physical Implementation of the Eight-Core Godson-3B Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 3, 页码: 520-527
作者:  Wang, Ru;  Fan, Bao-Xia;  Yang, Liang;  Gao, Yan-Ping;  Liu, Dong;  Xiao, Bin;  Wang, Jiang-Mei;  Zhang, Yi-Fu;  Wang, Hong;  Hu, Wei-Wu
收藏  |  浏览/下载:81/0  |  提交时间:2019/12/16
physical implementation  hierarchical design flow  GALS  clock mesh  low power  
Design for Testability Features of Godson-3 Multicore Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 2, 页码: 302-313
作者:  Qi, Zi-Chu;  Liu, Hui;  Li, Xiang-Ku;  Hu, Wei-Wu
收藏  |  浏览/下载:65/0  |  提交时间:2019/12/16
DFT (design for testability)  TAM (test access mechanism)  multicore processor  low power test  
Implementing a 1GHz four-issue out-of-order execution microprocessor in a standard cell ASIC methodology 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2007, 卷号: 22, 期号: 1, 页码: 1-14
作者:  Hu, Wei-Wu;  Zhao, Ji-Ye;  Zhong, Shi-Qiang;  Yang, Xu;  Guidetti, Elio;  Wu, Chris
收藏  |  浏览/下载:40/0  |  提交时间:2019/12/16
general-purpose processor  superscalar pipeline  out-of-order execution  non-blocking cache  physical design  synthesis flow  bit-sliced placement  crafted cell  performance evaluation  
Parallel error detection for leading zero anticipation 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2006, 卷号: 21, 期号: 6, 页码: 901-906
作者:  Zhang, Ge;  Hu, Wei-Wu;  Qi, Zi-Chu
收藏  |  浏览/下载:43/0  |  提交时间:2019/12/16
computer arithmetic  floating-point addition  leading zero anticipation  
High performance general-purpose microprocessors: Past and future 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2006, 卷号: 21, 期号: 5, 页码: 631-640
作者:  Hu, Wei-Wu;  Hou, Rui;  Xiao, Jun-Hua;  Zhang, Long-Bin
收藏  |  浏览/下载:35/0  |  提交时间:2019/12/16
high performance general-purpose microprocessor  instruction level parallelism  data level parallelism  thread level parallelism  chip multiprocessors  Godson processor