CSpace

浏览/检索结果: 共8条,第1-8条 帮助

限定条件            
已选(0)清除 条数/页:   排序方式:
An FFT Performance Model for Optimizing General-Purpose Processor Architecture 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 5, 页码: 875-889
作者:  Li, Ling;  Chen, Yun-Ji;  Liu, Dao-Fu;  Qian, Cheng;  Hu, Wei-Wu
收藏  |  浏览/下载:70/0  |  提交时间:2019/12/16
fast Fourier transform (FFT)  general-purpose processor (GPP)  performance prediction model  vector unit  DMA  
Physical Implementation of the Eight-Core Godson-3B Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 3, 页码: 520-527
作者:  Wang, Ru;  Fan, Bao-Xia;  Yang, Liang;  Gao, Yan-Ping;  Liu, Dong;  Xiao, Bin;  Wang, Jiang-Mei;  Zhang, Yi-Fu;  Wang, Hong;  Hu, Wei-Wu
收藏  |  浏览/下载:81/0  |  提交时间:2019/12/16
physical implementation  hierarchical design flow  GALS  clock mesh  low power  
The Godson Processors: Its Research, Development, and Contributions 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 3, 页码: 363-372
作者:  Hu, Wei-Wu;  Gao, Yan-Ping;  Chen, Tian-Shi;  Xiao, Jun-Hua
收藏  |  浏览/下载:67/0  |  提交时间:2019/12/16
IT industry  CPU research and development  Godson microprocessor  XPU  system on chip  
Design for Testability Features of Godson-3 Multicore Microprocessor 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2011, 卷号: 26, 期号: 2, 页码: 302-313
作者:  Qi, Zi-Chu;  Liu, Hui;  Li, Xiang-Ku;  Hu, Wei-Wu
收藏  |  浏览/下载:65/0  |  提交时间:2019/12/16
DFT (design for testability)  TAM (test access mechanism)  multicore processor  low power test  
System Architecture of Godson-3 Multi-Core Processors 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2010, 卷号: 25, 期号: 2, 页码: 181-191
作者:  Gao, Xiang;  Chen, Yun-Ji;  Wang, Huan-Dong;  Tang, Dan;  Hu, Wei-Wu
收藏  |  浏览/下载:41/0  |  提交时间:2019/12/16
multi-core processor  scalable interconnection  cache coherent non-uniform memory access/ non-uniform cache  access (CC-NUMA/NUCA)  MESH  crossbar  cache coherence  reliability  availability and serviceability (RAS)  
Chip multithreaded-consistency model 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2008, 卷号: 23, 期号: 2, 页码: 298-304
作者:  Li, Zu-Song;  Huan, Dan-Dan;  Hu, Wei-Wu;  Tang, Zhi-Min
收藏  |  浏览/下载:35/0  |  提交时间:2019/12/16
computer architecture  Godson-2  multithreading  memory consistency model  event ordering  
Implementing a 1GHz four-issue out-of-order execution microprocessor in a standard cell ASIC methodology 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2007, 卷号: 22, 期号: 1, 页码: 1-14
作者:  Hu, Wei-Wu;  Zhao, Ji-Ye;  Zhong, Shi-Qiang;  Yang, Xu;  Guidetti, Elio;  Wu, Chris
收藏  |  浏览/下载:40/0  |  提交时间:2019/12/16
general-purpose processor  superscalar pipeline  out-of-order execution  non-blocking cache  physical design  synthesis flow  bit-sliced placement  crafted cell  performance evaluation  
High performance general-purpose microprocessors: Past and future 期刊论文
JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2006, 卷号: 21, 期号: 5, 页码: 631-640
作者:  Hu, Wei-Wu;  Hou, Rui;  Xiao, Jun-Hua;  Zhang, Long-Bin
收藏  |  浏览/下载:35/0  |  提交时间:2019/12/16
high performance general-purpose microprocessor  instruction level parallelism  data level parallelism  thread level parallelism  chip multiprocessors  Godson processor