CSpace  > 中国科学院计算技术研究所期刊论文  > 中文
基于半监督集成学习的多核设计空间探索
李丹丹1; 姚淑珍1; 王颖2; 王森章3; 谭火彬1
2018
发表期刊北京航空航天大学学报
ISSN1001-5965
卷号44.0期号:004页码:792
摘要随着处理器的系统结构日趋复杂,设计空间呈指数式增长,并且软件模拟技术极为费时,成为处理器设计的重要挑战。提出了一种结合集成学习和半监督学习技术的高效设计空间探索方法。具体而言,该方法包括2个阶段:使用均匀随机采样方法从处理器设计空间中选择一小组具有代表性的设计点,通过模拟获得性能响应,从而组成训练数据集;提出基于半监督学习的AdaBoost(SSLBoost)模型预测未模拟的样本配置的响应,从而搜索最优的处理器设计配置。实验结果表明,与现有的基于人工神经网络和支持向量机(SVM)的有监督预测模型相比,SSLBoost模型能够使用更少的模拟样本构建出不差于现有方法性能的预测模型;而当模拟样本数量相同时,SSLBoost模型的预测精度更高。
关键词设计空间探索 半监督学习 集成学习 AdaBoost 微处理器 预测模型
语种英语
文献类型期刊论文
条目标识符http://119.78.100.204/handle/2XEOYT63/36466
专题中国科学院计算技术研究所期刊论文_中文
作者单位1.北京航空航天大学
2.中国科学院计算技术研究所
3.南京航空航天大学
推荐引用方式
GB/T 7714
李丹丹,姚淑珍,王颖,等. 基于半监督集成学习的多核设计空间探索[J]. 北京航空航天大学学报,2018,44.0(004):792.
APA 李丹丹,姚淑珍,王颖,王森章,&谭火彬.(2018).基于半监督集成学习的多核设计空间探索.北京航空航天大学学报,44.0(004),792.
MLA 李丹丹,et al."基于半监督集成学习的多核设计空间探索".北京航空航天大学学报 44.0.004(2018):792.
条目包含的文件
条目无相关文件。
个性服务
推荐该条目
保存到收藏夹
查看访问统计
导出为Endnote文件
谷歌学术
谷歌学术中相似的文章
[李丹丹]的文章
[姚淑珍]的文章
[王颖]的文章
百度学术
百度学术中相似的文章
[李丹丹]的文章
[姚淑珍]的文章
[王颖]的文章
必应学术
必应学术中相似的文章
[李丹丹]的文章
[姚淑珍]的文章
[王颖]的文章
相关权益政策
暂无数据
收藏/分享
所有评论 (0)
暂无评论
 

除非特别说明,本系统中所有内容都受版权保护,并保留所有权利。